EEJournal

半导体
现在就订阅

MIPS推出首个RISC-V处理器核心——这是一个巨大的“Un”

尽管该公司已经宣布了它的重大举措,但MIPS采用RISC-V ISA作为未来的处理器核心,对我来说就像一吨砖头一样。MIPS是早期RISC革命的英雄之一,该公司经历了许多起起伏伏。大的ups。大的痛苦。Jim Turley讨论了MIPS关于加入RISC-V的声明……阅读更多→MIPS推出首个RISC-V处理器核心——这是一个巨大的“Un”

qpu和你:Classiq如何革新量子计算

量子计算是本周Fish Fry播客的中心舞台!亚搏体亚搏Erik Garcell博士(Classiq)和我聊了聊Classiq是如何用革命性的量子计算软件开发新流程撼动量子计算行业的。我们讨论了为什么Erik认为知识产权保护对量子计算的发展至关重要,Classiq与劳斯莱斯合作的细节,以及为什么今天是一个完美的…阅读更多→qpu和你:Classiq如何革新量子计算

bb亚博

好悲伤!真不敢相信已经2023年了。我还没准备好。我还没准备好演讲稿,也没衣服穿。我希望你和家人朋友度过了一个愉快的假期。对我自己来说,我最初希望在圣诞节前后度过一个为期4天的周末,然后在新年前夜进行重复表演。

<……bb亚博

2 + 2 = 4吗?Microchip宣布推出PolarFire 2;你自己算算

Microchip在上个月的RISC-V峰会上预览了其PolarFire 2中端SoC FPGA家族,其中包括该公司FPGA业务副总裁Bruce Weyer的主题演讲。尽管该公司提供的产品细节很少,但它给出了很多暗示。很多提示,事实上,我可以根据这些提示给你我收集到的信息,告诉你我…阅读更多→“2 + 2 = 4吗?Microchip宣布推出PolarFire 2;你自己算算”

2023年1月11日
2023年1月10日
2023年1月2日
2022年12月19日
2022年12月15日
2022年12月13日
2022年12月12日
2022年12月9日
2022年12月8日
2022年12月7日
2022年12月6日
2022年12月2日
2022年12月1日
2022年11月15日
2022年11月14日
2022年11月11日
2022年11月8日
2022年11月7日
2022年11月3日
有特色的博客
2023年1月11日
寄生电容的影响是关注高频PCB设计的主要原因。寄生电容是由于在电路中放置得太近而在两个元件之间积聚电荷而形成的。这种意想不到的电容可能是t…
2023年1月10日
ChatGPT会发展到能够写出C.S. Lewis写的《纳尼亚传奇》这样的作品吗?
2023年1月10日
回归计划为那些结束职业中期休息的人创造了就业机会;探索我们为工程师和计算机科学家提供的RIYA回国计划。《向前和向上:回归计划如何提供新的职业机会》一文首次出现在《来自S…
2023年1月9日
Calibre在Kubernetes上运行,而大多数Calibre半制造作业仍然在使用... ...的本地计算集群上运行
亚博里的电子竞技粉笔会谈
芯片末日:正在发生什么,为什么会发生,什么时候会结束- Digi & Mouser Electronics 半导体是我们设计生活中不可或缺的一部分,但供应链问题继续困扰着我们的设计过程。在这期Chalk Talk节目中,来自亚博里的电子竞技DIGI的Ronald Singh和Amelia Dalton调查了当今半导体供应链困境背后的各种原因。他们还仔细研究了模块上的系统方法……阅读更多→“芯片末日:正在发生什么,为什么会发生,什么时候会结束- Digi & Mouser Electronics”
使用Cadence Cloud - Cadence在电子设计中实现数字转换 随着设计规模的增加、高级节点的复杂性以及更快的上市时间需求,设计团队正在寻找可扩展性、简单性、灵活性和敏捷性。在今天的Chalk T亚博里的电子竞技alk中,Amelia Dalton与来自Cadence Design Systems的Mahesh Turaga聊天,讨论Cadence端到端云投资组合的细节,如何扩展您的…阅读更多→“用Cadence Cloud实现电子设计的数字化转型- Cadence”
更快,更可预测的路径多芯片设计闭包-节奏设计系统 3D IC设计的挑战比标准芯片设计更大,但并非不可克服。在Chalk Talk的这一集中,Am亚博里的电子竞技elia Dalton与来自Cadence Design Systems的Vinay Patwardhan聊天,讨论了3D IC设计师今天面临的各种挑战,以及Cadence的集成,高容量完整性3D IC平台如何…阅读更多→更快,更可预测的多芯片设计闭合路径-节奏设计系统
用于高性能asic、soc和xpu的“可扩展电源交付”-英飞凌 今天的人工智能和网络应用正在推动计算能力的指数级增长。当谈到使用下一代芯片组的这类应用的伸缩能力时,我们需要牢记封装尺寸限制、动态电流平衡和输出电容。在这一期的粉笔谈话中,来自英飞凌的Ma亚博里的电子竞技rk Rodrigues加入…阅读更多→面向高性能asic、soc和xpu的“可扩展电源传输”-英飞凌
SiliconMAX SLM扩展到现场- Synopsys 为了跟上当今电子设计的严格节奏,我们必须了解IC设计生命周期的每一步,包括调试、启动和现场操作。在这一集的粉笔谈话,Amelia Da亚博里的电子竞技lton聊天与史蒂夫帕特拉斯从Synopsys关于硅生命周期管理的现场基础设施,…阅读更多→将SiliconMAX SLM扩展到现场-简介
10倍更快的模拟模拟与PrimeSim Continuum - Synopsys IC设计在很短的时间内取得了长足的进步。今天,我们的SoC设计通常包括集成模拟,100+千兆数据速率和3D堆叠DRAM集成到我们的SoC中。为了在所有这些复杂的IC中保持我们的头在水中,我们需要一个统一的…阅读更多→“10倍更快的模拟模拟与PrimeSim Continuum - Synopsys”
Baidu