EEJournal

行业新闻
现在就订阅

Cadence以突破性的完整3D-IC平台加速系统创新

业界首个用于多芯片设计和先进封装的综合3D-IC平台

亮点:

•完整性3D-IC集成设计规划,实施和系统分析在一个单一的,统一的驾驶舱
•设计人员可以通过集成的热、功率和静态时序分析功能实现系统驱动的PPA
•Cadence的第三代3D-IC解决方案支持广泛的应用领域,包括超大规模计算、消费者、5G通信、移动和汽车

加利福尼亚州圣何塞10月7日消息——Cadence Design Systems, Inc.(纳斯达克代码:CDNS)今天宣布交付Cadence®Integrity™3D- ic平台,这是业界首个全面、高容量的3D- ic平台,将3D设计规划、实施和系统分析集成在单一、统一的座舱中。Integrity 3D-IC平台是Cadence第三代3D-IC解决方案的基础,通过集成的热、功率和静态时序分析功能,为客户提供单个芯片的系统驱动功率、性能和面积(PPA)。

通过Integrity 3D-IC平台,创建超大规模计算、消费级、5G通信、移动和汽车应用的芯片设计人员可以实现更高的生产力,而不是逐个芯片的分离实现方法。该平台独特地提供了系统规划、集成电热、静态定时分析(STA)和物理验证流程,实现了更快、高质量的3D设计收尾。它还集成了3D探索流程,采用2D设计网络列表,根据用户输入创建多个3D堆叠场景,自动选择最优的最终3D堆叠配置。此外,该平台数据库支持所有3D设计类型,允许工程师同时在多个工艺节点上创建设计,并与使用Cadence Allegro®封装技术的封装设计团队和外包半导体组装与测试(OSAT)公司进行无缝协同设计。有关Integrity 3D-IC平台的更多信息,请访问www.cadence.com/go/integrity

使用Integrity 3D-IC平台的客户可以获得以下功能和优势:

•通用座舱和数据库:让SoC和封装设计团队同时共同优化整个系统,允许有效地整合系统级反馈。

•完整的策划体系:集成了一个完整的3D- ic堆叠规划系统,适用于所有类型的3D设计,使客户能够管理和实现原生3D堆叠。

•无缝实现工具集成:通过与Cadence Innovus实施系统直接基于脚本的集成,提供易于使用的高容量数字设计,具有3D模具划分、优化和定时流程。

集成的系统级分析能力:通过早期电热和跨模STA实现稳健的3D-IC设计,为系统驱动的PPA提供早期系统级反馈。

•与Virtuoso®设计环境和Allegro包装技术合作设计:允许工程师通过分层数据库将设计数据从Cadence模拟和封装环境无缝地移动到系统的不同部分,从而实现更快的设计结束和提高工作效率。

•易于使用的界面:包括一个强大的用户座舱和一个流程管理器,为设计人员提供统一的、交互式的方式来运行相关的系统级3D系统分析流程。

Cadence高级副总裁兼数字与签约集团总经理Chin-Chi Teng博士表示:“Cadence通过其领先的数字、模拟和封装实现产品线,一直为客户提供强大的3D-IC封装解决方案。“随着先进封装技术的最近发展,我们认为有必要进一步建立我们成功的3D-IC基础,提供一个更紧密集成的平台,将我们的实现技术与系统级规划和分析联系起来。随着行业继续向不同配置的3D堆叠模具发展,新的Integrity 3D- ic平台让客户实现系统驱动的PPA,降低设计复杂性,加快上市时间。”

完整性3D-IC平台是更广泛的Cadence 3D-IC解决方案组合的一部分,它超越了数字,包括系统、验证和IP功能。更广泛的解决方案通过由Palladium®Z2和Protium™X2平台组成的Dynamic Duo提供整个系统的硬件和软件协同验证和功率分析。它还通过基于芯片的PHY IP提供连接,并对延迟、带宽和功率进行了优化。Integrity 3D-IC平台提供了与Virtuoso设计环境和Allegro技术的协同设计功能,集成IC签名提取和STA与quantum™提取解决方案和Tempus™定时签名解决方案,集成信号完整性/功率完整性(SI/PI),电磁干扰(EMI)和热分析与Sigrity™技术组合,Clarity™3D瞬变求解器和Celsius™热求解器。全新的Integrity 3D-IC平台和更广泛的3D-IC解决方案组合都建立在SoC卓越设计和系统级创新的坚实基础上,支持公司的智能系统设计™战略。有关Cadence 3D-IC解决方案的更多信息,请访问www.cadence.com/go/3DIC

代言
“随着3D- ic设计的不断发展,更高效地自动化3D堆叠模具系统的规划和划分的需求越来越大。作为世界领先的纳米电子和数字技术研究和创新中心,通过与Cadence的长期合作,我们成功地找到了自动化的方法来划分设计,以构建最佳的3D堆栈,增加可访问的内存带宽,从而在高级节点设计中提高性能并降低功耗。Cadence的Integrity 3D-IC平台中包含的逻辑流上的集成内存支持跨晶片规划、实现和多晶片STA,我们的研究团队在多核高性能设计上演示了这一点。”

-Eric Beyne, imec 3D系统集成高级研究员和项目总监
“为了利用光学计算推动人工智能加速,我们一直利用芯片设计行业所有最新的创新趋势——一个关键的创新是多芯片堆叠。为了构建异构的多芯片堆叠设计,必须有一个完全集成的规划和实施系统,该系统可以在一个驾驶舱中代表多个技术节点。Cadence Integrity 3D-IC平台提供了一个统一的数据库解决方案,具有实现和早期系统级分析功能,包括定时退出和电热分析。它帮助我们实现利用光学计算加速人工智能的下一代创新。”

- Lightelligence Inc.创始人兼首席执行官沈一晨博士
“构建2.5D/3D-IC设计需要多个芯片,如逻辑芯片和与硅中间体技术连接的高带宽存储器。为了满足我们的性能标准,在考虑位置、屏蔽和系统完整性要求的同时,中间体布线需要自动化,按结构进行校正。Cadence Integrity 3D-IC平台很好地集成了最佳中间体实现和系统分析,并提供快速、完整的系统分析,使我们能够提供满足超大规模计算和5G通信的内存带宽需求的设计。”

-孙拓北,SaneChips包装与测试部研发主管

关于节奏
Cadence是电子设计领域的关键领导者,拥有30多年的计算软件专业知识。该公司应用其底层智能系统设计策略,提供软件、硬件和IP,将设计概念变为现实。Cadence的客户是世界上最具创新精神的公司,为最具活力的市场应用提供从芯片到电路板到系统的卓越电子产品,包括消费、超大规模计算、5G通信、汽车、移动、航空航天、工业和医疗保健。《财富》杂志连续七年将Cadence评为最适合工作的100家公司之一。欲知详情,请浏览cadence.com

留下回复

有特色的博客
2023年2月2日
Sigrity Aurora在线课程提供了开始与Sigrity Aurora工作所需的基本培训。本课程涵盖了从模拟预布线并行总线到基于仿真结果约束PCB布线的设计流程。约束被创建…
2023年2月1日
了解Lightelligence如何使用我们的Platform Architect SoC设计工具开发包括数字、模拟和光学组件在内的多芯片系统包。客户焦点:Lightelligence优化光学SoC设计与Synopsys平台架构师出现在f…
2023年1月30日
聪明地工作,而不是更努力地工作。大家不都是这么跟你说的吗?当然,这是很好的建议,... ...
2023年1月19日
你是否在调整表带或更换手表电池时遇到了问题?如果是这样,我是好消息的携带者....

有特色的视频

Synopsys 224G和112G以太网PHY IP OIF在ECOC 2022互操作

Synopsys对此

这段特色视频展示了Synopsys 224G和112G以太网PHY IP中长到达性能的四个演示,与第三方通道和serde互操作。

了解更多

特色粉笔谈话亚博里的电子竞技

NEUTRIK®FIBERFOX

逮老鼠的电子产品而且Neutrik

光纤技术在过去几年中呈指数级增长。如今,光纤技术在世界范围内越来越多的应用中占有一席之地。在本集Chalk Talk中,来自NE亚博里的电子竞技UTRIK®的Amelia Dalton和David Kuklinski探索了一种革命性的新型光纤技术,称为FIBERFOX。我们仔细研究了FIBERFOX带来的好处,为什么FIBERFOX的扩展光束技术使其不同于当今市场上的任何其他光纤技术,以及如何在您的下一个设计中使用FIBERFOX。

点击这里了解更多关于NEUTRIK FIBERFOX扩展光束光纤连接器的信息

Baidu