EEJournal

专题文章
现在就订阅

FPGA仿真

忘记你学到了什么在ASIC设计

当有人使用单词“验证”和“FPGA”在同一个句子,我总是怀疑。在风险规避的ASIC设计的世界,是一切,“验证”是一个神圣的名词。“验证”是长杆在帐篷里,最耗时的阶段的设计周期。“验证”是你做什么来保护你的工作所以你不指责的昂贵和耗时的re-spin ASIC设计。“验证”是EDA公司已经学会信任作为实用的。利用固有的风险在ASIC设计流程,生产高端解决方案,寻求吸走人类不可避免的失败,找到途径进入复杂的工程项目。

显而易见,当FPGA设计开始达到相同的复杂性ASIC设计,EDA公司和ASIC设计的条件反射,使他们立即“验证”的问题。没有这么多的暂停思考,他们模仿ASIC世界的咒语:“好吧,如果你的FPGA设计达到五百万盖茨,你需要一些复杂的验证工具。”

“验证”是你所做的,以确保你的设计是正确的在你提交硬件。这是最后的“一定”一步,抓住任何最后一分钟爬进你的代码的问题。它代表了一个投资的时间和资源来降低整体风险。明智的投资验证工具,然后,正比于一个错误的可能性使它通过硬件的成本乘以纠正这个错误。我们投资于验证,第一项(错误)的可能性越小。第二项,调整成本,然而,是问题发生的地方。ASIC设计,第二项是用成千上万的美元,周的安排时间。除了这些处罚,每个re-spin纠正一个错误在错过市场机会带来不可估量的影响。FPGA设计,没有这样的处罚。fpga,事实上,是一个领先的ASIC设计验证技术。 People use FPGAs every day as a platform for prototyping ASIC designs in hardware for accelerated verification. There simply is no way to justify significant investment in software verification tools for the design of FPGAs.

这并不是说生产FPGA设计不需要验证之前他们发货。他们这样做,当然,但鉴于现代开发板的状态,可重复编程的架构,和芯片级硬件/软件调试和高带宽的数据传输和健壮的用户界面,验证FPGA设计唯一明智的方法是使用一个边境(半)的方法。花费成千上万的软件工具慢一个数量级以上,不准确模型的硬件就没有意义。

所有现代ASIC验证工具的基石是可敬的HDL模拟器。多亏了ASIC设计,高密度脂蛋白仿真的概念和“验证”是紧密相连的,很少有人能够娱乐的想法HDL模拟可以用于任何其他目的。高密度脂蛋白仿真是FPGA设计的一个组成部分——不是在验证的作用。大多数现代fpga开发主要在硬件描述语言(VHDL)和Verilog HDL模拟器是一样重要的开发,代码调试器是一个软件开发人员。

多用在EDA公司营销人员,很难改掉这个习惯。当你用来销售产品ASIC设计作为验证工具,很难转身把同样的产品卖给观众一个FPGA作为开发和调试援助。然而,需求是相当不同的。作为一个设计师,你应该意识到你需要的和有耐心的与产品供应商,疑惑是可以理解的。

ASIC设计,高密度脂蛋白模拟器的关键属性是(为了)速度,速度,速度,和能力。事件的数量,可以评估一个code-crunching农场五百空调Linux框在48小时运行是最有意义的核心人群ASIC验证指标。

然而,在FPGA设计,在硬件提升重物。用更少的时间比需要上传仿真内核和测试向量来模拟农场,你可以快乐地探索你的实际的FPGA硬件实现虚拟仪器,设计运行的速度,使仿真学者非常嫉妒。

FPGA仿真的重要特征是易于使用,调试环境的鲁棒性,有足够的能力来处理你最雄心勃勃的硬件描述语言(VHDL)或Verilog模型。以下密切形成能够处理各种形式的IP集成和一般的光滑与其余的FPGA集成工具流。由于FPGA也是一个大熔炉的设计师来自不同学科,支持其他语言编写的轻松集成的模型也是有价值的。

大多数FPGA开发和调试完成模块级,所以容量和性能则是次要的考虑因素。是的,你需要足够的容量加载你的整个设计(无论“系统门”大小的数量不会大ASIC),但是你会只使用RTL模拟。门级仿真性能,虽然模拟供应商作为吹牛的权利,真的永远不会发挥作用,因为你会在实际硬件之前的阶段。

有许多其他ASIC-related特性,可以添加很多炒作(和价格),高密度脂蛋白仿真环境,并不是真正的FPGA地区使用。功能,如断言(发现越来越多地使用在ASIC验证)没有典型的FPGA设计流中的效用。

虽然大多数EDA供应商追逐ASIC总线,至少有一对夫妇了解FPGA和非常成功的工具针对FPGA市场。最重要的是导师图形ModelSim,一直领先的FPGA模拟器数年。我们的FPGA项目调查显示,超过60%的FPGA设计者使用ModelSim调试HDL设计。秘密的一部分,这是分销渠道。大多数FPGA供应商协议re-distribute ModelSim作为他们的标准开发工具包的一部分,因此可访问性很高。

“我们做一个有意识的努力来解决FPGA设计者,”安娜Leef说,产品营销经理在ModelSim产品线导师图形。“我们的FPGA的许多客户价值超过性能易于使用和调试功能。我们花费了大量的资源在过去的几年中增加我们的能力在这些领域。为了便于使用,我们增加了语言模板和更好的项目管理,和调试方面我们提供源代码,波形和图形调试功能单一和混合语言的设计。通过导师图形我们还提供FPGA ModelSim优势产品特性与高密度脂蛋白设计师系列集成
家庭的设计工具和精密合成。”

“我们还包和分发我们的产品在特定的方式来满足各种用户需求。我们的OEM产品由FPGA供应商直接供货包括足够的性能处理中小型FPGA,和他们也包括同一ModelSim用户界面可以在高价位的产品。这使得很容易迁移到更强大的产品作为用户的设计也会变大。ModelSim体育,我们的行业领先的基于Windows
模拟器,是专门针对FPGA设计者和提供入门级价格不到5000美元。我们的高端产品,ModelSim SE,提供了一个模拟,验证和调试环境,用户想要更多的性能,容量和验证功能”。

也积极寻求FPGA设计业务Aldec FPGA独立于供应商的模拟器,Active-HDL。除了提供功能齐全的高密度脂蛋白,验证和调试,Aldec也关注新一代fpga提供解决方案。C Active-HDL提供等功能集成调试嵌入式处理器和DSP co-verification与Matlab一起工作。Active-HDL还包括接口和建成的图书馆支持SystemC和快速智能模型。

所以不要偏见通过ASIC要求选择一个FPGA模拟器。想想您的团队真的需要购买相应的。记住最好的加速技术可能是你的目标FPGA,由于目前的嵌入式调试艾滋病,你可能会有一个健壮的环境,将你航运产品之前ASIC。

有特色的博客
2023年1月13日
四年前,我写了一个博客,“验证教育学术界的地位,”我说,“需要验证增加和需求验证专家正在上升。‘嗯,需要验证当然没有拒绝的集成电路和系统……
2023年1月12日,
有没有来自过去的事件,你希望看到如果你曾经面对的机会呢?…
2023年1月12日,
总裁和首席运营官Sassine拉什德解释了AI可以改变流入自主设计的芯片设计工具在2022年的人工智能硬件峰会主题。后时代的自主导航设计强大的计算与硬件首先出现在从Silic…
2023年1月9日,
由贝丝马丁Calibre集航行Kubernetes虽然大多数Calibre半成品工作仍然运行在本地计算集群使用……

有特色的视频

基于软件的安全机制来处理单元自测

Synopsys对此

找出Synopsys对此弧软件测试库可以帮助你保持在你的权力和预算高性能安全至上的汽车设计领域。

了解更多

以注入式教学法亚博里的电子竞技

为服务器和AI与高效IPOL电压调节器

英飞凌

对于今天的网络、电信、服务器和企业存储应用,功率效率和功率密度的成功是至关重要的组件的电源管理。注入式教学法在这节课中,阿米莉亚道尔顿亚博里的电子竞技和Davood博士Yazdani英飞凌聊天关于英飞凌的高效集成的细节点的负载电压调节器。Davood和阿米莉亚仔细看看这些集成的操作点的负载电压调节器以及为什么使用英飞凌OptiMOS 5场效应晶体管结合英飞凌速度常数时间控制器引擎使他们一个伟大的解决方案为您的下一个设计。

点击这里获取更多信息集成波尔电压调节器

Baidu