物理验证- IC验证器|简介

行业领先的生产力

IC Validator™物理验证是一种全面的高性能签收解决方案,可提高客户从成熟到高级所有工艺节点的工作效率。IC Validator提供了业界最好的分布式处理可扩展性,超过4000个CPU内核。该工具的性能和可扩展性使一些行业最大的十字线限制芯片具有数十亿个晶体管、同一天设计规则检查(DRC)、布局与原理图(LVS)和填充周转时间。

IC Validator与Synopsys无缝集成融合编译器™RTL-to-GDSII解决方案和IC Compiler®II放置和路由系统在融合设计平台™.这种集成的融合技术通过在实现环境中支持独立的质量验证分析和自动修复来加速制造的设计关闭。

IC验证器技术

IC验证器PERC -全面的可靠性验证

了解IC Validator PERC如何使设计人员能够在单元级、块级和全芯片级进行广泛的复杂可靠性验证检查。

EE杂志的粉笔谈话:加速物亚博里的电子竞技理验证的生产力

在这集Chalk Talks中,Ame亚博里的电子竞技lia Dalton与Synopsys的Manoz Palaparthi谈论如何显著提高物理验证过程的性能。

IC验证器有什么新功能

演示

了解我们的客户如何使用IC验证器

silicon-photonics-ic-validator-thumb.jpg

Rockley光子学

用IC验证器进行硅光子学验证

toshiba-icv-css-thumbnail.jpg

东芝

更快的DRC关闭IC验证器

barefoot-ntwks-icv-css-thumbnail.jpg

赤脚网络

AWS云上的IC验证器物理签收

Baidu